pair,contact1,contact2,flow1,flow2 3lhv_A_,4,7,1,1 3lhv_A_,5,8,1,1 3lhv_A_,5,32,1,1 3lhv_A_,6,30,1,1 3lhv_A_,7,186,1,1 3lhv_A_,7,189,1,1 3lhv_A_,7,190,1,1 3lhv_A_,7,192,1,1 3lhv_A_,9,192,1,1 3lhv_A_,9,194,1,1 3lhv_A_,10,33,1,1 3lhv_A_,10,35,1,1 3lhv_A_,11,13,1,1 3lhv_A_,11,194,1,1 3lhv_A_,12,35,1,1 3lhv_A_,16,19,1,1 3lhv_A_,16,20,1,1 3lhv_A_,17,21,1,1 3lhv_A_,17,44,1,1 3lhv_A_,17,47,1,1 3lhv_A_,18,22,1,1 3lhv_A_,19,22,1,1 3lhv_A_,19,23,1,1 3lhv_A_,20,24,1,1 3lhv_A_,21,25,1,1 3lhv_A_,22,26,1,1 3lhv_A_,23,27,1,1 3lhv_A_,24,27,1,1 3lhv_A_,25,28,1,1 3lhv_A_,27,30,1,1 3lhv_A_,27,31,1,1 3lhv_A_,28,56,1,1 3lhv_A_,32,33,1,1 3lhv_A_,32,59,1,1 3lhv_A_,33,59,1,1 3lhv_A_,34,59,1,1 3lhv_A_,34,61,1,1 3lhv_A_,35,63,1,1 3lhv_A_,36,61,1,1 3lhv_A_,36,63,1,1 3lhv_A_,37,41,1,1 3lhv_A_,38,42,1,1 3lhv_A_,39,43,1,1 3lhv_A_,40,43,1,1 3lhv_A_,40,44,1,1 3lhv_A_,41,45,1,1 3lhv_A_,45,48,1,1 3lhv_A_,46,49,1,1 3lhv_A_,47,51,1,1 3lhv_A_,48,52,1,1 3lhv_A_,49,53,1,1 3lhv_A_,50,54,1,1 3lhv_A_,51,55,1,1 3lhv_A_,52,56,1,1 3lhv_A_,52,57,1,1 3lhv_A_,52,58,1,1 3lhv_A_,53,58,1,1 3lhv_A_,60,86,1,1 3lhv_A_,60,87,1,1 3lhv_A_,62,64,1,1 3lhv_A_,62,87,1,1 3lhv_A_,62,89,1,1 3lhv_A_,63,65,1,1 3lhv_A_,66,89,1,1 3lhv_A_,66,91,1,1 3lhv_A_,68,69,1,1 3lhv_A_,68,73,1,1 3lhv_A_,68,98,1,1 3lhv_A_,69,73,1,1 3lhv_A_,70,74,1,1 3lhv_A_,72,76,1,1 3lhv_A_,73,77,1,1 3lhv_A_,73,98,1,1 3lhv_A_,74,78,1,1 3lhv_A_,75,79,1,1 3lhv_A_,76,80,1,1 3lhv_A_,77,81,1,1 3lhv_A_,78,82,1,1 3lhv_A_,78,108,1,1 3lhv_A_,79,83,1,1 3lhv_A_,80,85,1,1 3lhv_A_,81,84,1,1 3lhv_A_,81,111,1,1 3lhv_A_,85,111,1,1 3lhv_A_,86,112,1,1 3lhv_A_,88,112,1,1 3lhv_A_,88,114,1,1 3lhv_A_,90,114,1,1 3lhv_A_,90,116,1,1 3lhv_A_,92,95,1,1 3lhv_A_,95,99,1,1 3lhv_A_,96,100,1,1 3lhv_A_,97,101,1,1 3lhv_A_,98,102,1,1 3lhv_A_,99,103,1,1 3lhv_A_,100,104,1,1 3lhv_A_,101,105,1,1 3lhv_A_,102,106,1,1 3lhv_A_,103,107,1,1 3lhv_A_,104,108,1,1 3lhv_A_,105,109,1,1 3lhv_A_,106,111,1,1 3lhv_A_,107,110,1,1 3lhv_A_,112,146,1,1 3lhv_A_,113,146,1,1 3lhv_A_,115,146,1,1 3lhv_A_,115,148,1,1 3lhv_A_,117,118,1,1 3lhv_A_,117,148,1,1 3lhv_A_,118,129,1,1 3lhv_A_,118,156,1,1 3lhv_A_,119,153,1,1 3lhv_A_,120,152,1,1 3lhv_A_,120,178,1,1 3lhv_A_,121,124,1,1 3lhv_A_,121,153,1,1 3lhv_A_,122,125,1,1 3lhv_A_,123,126,1,1 3lhv_A_,124,129,1,1 3lhv_A_,125,153,1,1 3lhv_A_,128,131,1,1 3lhv_A_,129,132,1,1 3lhv_A_,131,135,1,1 3lhv_A_,132,136,1,1 3lhv_A_,133,137,1,1 3lhv_A_,133,156,1,1 3lhv_A_,134,138,1,1 3lhv_A_,135,139,1,1 3lhv_A_,136,140,1,1 3lhv_A_,137,141,1,1 3lhv_A_,138,142,1,1 3lhv_A_,139,144,1,1 3lhv_A_,140,143,1,1 3lhv_A_,144,147,1,1 3lhv_A_,145,169,1,1 3lhv_A_,147,169,1,1 3lhv_A_,147,171,1,1 3lhv_A_,149,171,1,1 3lhv_A_,149,172,1,1 3lhv_A_,150,153,1,1 3lhv_A_,151,173,1,1 3lhv_A_,151,185,1,1 3lhv_A_,153,157,1,1 3lhv_A_,154,158,1,1 3lhv_A_,155,159,1,1 3lhv_A_,156,160,1,1 3lhv_A_,157,161,1,1 3lhv_A_,158,162,1,1 3lhv_A_,159,162,1,1 3lhv_A_,159,163,1,1 3lhv_A_,160,164,1,1 3lhv_A_,161,165,1,1 3lhv_A_,161,168,1,1 3lhv_A_,161,188,1,1 3lhv_A_,161,190,1,1 3lhv_A_,164,168,1,1 3lhv_A_,170,190,1,1 3lhv_A_,170,191,1,1 3lhv_A_,172,191,1,1 3lhv_A_,172,193,1,1 3lhv_A_,174,180,1,1 3lhv_A_,176,179,1,1 3lhv_A_,176,180,1,1 3lhv_A_,181,184,1,1 3lhv_A_,181,185,1,1 3lhv_A_,182,186,1,1 3lhv_A_,184,187,1,1 3lhv_A_,185,188,1,1 3lhv_A_,185,189,1,1 3lhv_A_,195,198,1,1 3lhv_A_,195,199,1,1 3lhv_A_,196,200,1,1 3lhv_A_,198,201,1,1 3lhv_A_,203,206,1,1 3lhv_A_,203,207,1,1 3lhv_A_,204,208,1,1 3lhv_A_,205,209,1,1 3lhv_A_,206,210,1,1 3lhv_A_,207,211,1,1 3lhv_A_,208,212,1,1 3lhv_A_,209,213,1,1 3lhv_A_,210,214,1,1 3lhv_A_,211,214,1,1 3lhv_A_,212,215,1,1 3lhv_A_,213,216,1,1 3lhv_A_,215,218,1,1