pair,contact1,contact2,flow1,flow2 6msg_X_,1,5,1,1 6msg_X_,2,6,1,1 6msg_X_,4,8,1,1 6msg_X_,5,8,1,1 6msg_X_,5,9,1,1 6msg_X_,7,11,1,1 6msg_X_,8,12,1,1 6msg_X_,9,13,1,1 6msg_X_,10,13,1,1 6msg_X_,10,14,1,1 6msg_X_,11,15,1,1 6msg_X_,13,17,1,1 6msg_X_,14,18,1,1 6msg_X_,15,18,1,1 6msg_X_,18,20,1,1 6msg_X_,21,25,1,1 6msg_X_,22,26,1,1 6msg_X_,24,28,1,1 6msg_X_,25,29,1,1 6msg_X_,27,30,1,1 6msg_X_,27,31,1,1 6msg_X_,31,34,1,1 6msg_X_,32,70,1,1 6msg_X_,34,37,1,1 6msg_X_,43,47,1,1 6msg_X_,44,48,1,1 6msg_X_,45,49,1,1 6msg_X_,46,50,1,1 6msg_X_,47,51,1,1 6msg_X_,48,52,1,1 6msg_X_,48,86,1,1 6msg_X_,49,53,1,1 6msg_X_,50,54,1,1 6msg_X_,51,55,1,1 6msg_X_,52,56,1,1 6msg_X_,63,66,1,1 6msg_X_,63,67,1,1 6msg_X_,64,68,1,1 6msg_X_,65,69,1,1 6msg_X_,66,70,1,1 6msg_X_,67,71,1,1 6msg_X_,68,72,1,1 6msg_X_,69,73,1,1 6msg_X_,70,74,1,1 6msg_X_,71,75,1,1 6msg_X_,72,76,1,1 6msg_X_,73,77,1,1 6msg_X_,74,78,1,1 6msg_X_,75,79,1,1 6msg_X_,77,79,1,1 6msg_X_,80,84,1,1 6msg_X_,81,85,1,1 6msg_X_,83,86,1,1 6msg_X_,83,87,1,1 6msg_X_,84,88,1,1 6msg_X_,87,91,1,1 6msg_X_,88,92,1,1 6msg_X_,89,93,1,1 6msg_X_,90,94,1,1 6msg_X_,91,95,1,1 6msg_X_,92,96,1,1 6msg_X_,95,98,1,1 6msg_X_,95,100,1,1 6msg_X_,96,99,1,1 6msg_X_,100,103,1,1 6msg_X_,102,106,1,1 6msg_X_,103,107,1,1 6msg_X_,104,108,1,1 6msg_X_,105,109,1,1 6msg_X_,106,110,1,1 6msg_X_,107,111,1,1 6msg_X_,108,112,1,1 6msg_X_,109,113,1,1 6msg_X_,111,114,1,1 6msg_X_,111,115,1,1 6msg_X_,120,123,1,1 6msg_X_,122,125,1,1 6msg_X_,123,127,1,1 6msg_X_,125,129,1,1 6msg_X_,126,130,1,1 6msg_X_,127,131,1,1 6msg_X_,128,132,1,1 6msg_X_,128,150,1,1 6msg_X_,129,171,1,1 6msg_X_,130,133,1,1 6msg_X_,130,134,1,1 6msg_X_,131,135,1,1 6msg_X_,132,171,1,1 6msg_X_,133,137,1,1 6msg_X_,135,138,1,1 6msg_X_,135,140,1,1 6msg_X_,136,139,1,1 6msg_X_,141,145,1,1 6msg_X_,142,146,1,1 6msg_X_,143,147,1,1 6msg_X_,144,148,1,1 6msg_X_,145,149,1,1 6msg_X_,145,175,1,1 6msg_X_,146,150,1,1 6msg_X_,147,150,1,1 6msg_X_,147,151,1,1 6msg_X_,148,152,1,1 6msg_X_,149,153,1,1 6msg_X_,150,154,1,1 6msg_X_,151,155,1,1 6msg_X_,152,156,1,1 6msg_X_,152,189,1,1 6msg_X_,154,157,1,1 6msg_X_,159,160,1,1 6msg_X_,163,167,1,1 6msg_X_,163,197,1,1 6msg_X_,164,168,1,1 6msg_X_,165,169,1,1 6msg_X_,166,170,1,1 6msg_X_,167,171,1,1 6msg_X_,167,197,1,1 6msg_X_,168,172,1,1 6msg_X_,170,174,1,1 6msg_X_,171,174,1,1 6msg_X_,171,175,1,1 6msg_X_,172,176,1,1 6msg_X_,173,177,1,1 6msg_X_,174,178,1,1 6msg_X_,177,180,1,1 6msg_X_,177,280,1,1 6msg_X_,182,184,1,1 6msg_X_,182,185,1,1 6msg_X_,183,215,1,1 6msg_X_,184,188,1,1 6msg_X_,185,189,1,1 6msg_X_,186,190,1,1 6msg_X_,187,191,1,1 6msg_X_,188,192,1,1 6msg_X_,189,193,1,1 6msg_X_,190,194,1,1 6msg_X_,191,194,1,1 6msg_X_,191,195,1,1 6msg_X_,192,196,1,1 6msg_X_,193,197,1,1 6msg_X_,201,204,1,1 6msg_X_,202,206,1,1 6msg_X_,207,211,1,1 6msg_X_,208,212,1,1 6msg_X_,209,213,1,1 6msg_X_,210,214,1,1 6msg_X_,211,215,1,1 6msg_X_,211,275,1,1 6msg_X_,213,216,1,1 6msg_X_,213,217,1,1 6msg_X_,214,218,1,1 6msg_X_,216,221,1,1 6msg_X_,217,220,1,1 6msg_X_,222,226,1,1 6msg_X_,223,226,1,1 6msg_X_,223,227,1,1 6msg_X_,225,229,1,1 6msg_X_,226,230,1,1 6msg_X_,228,231,1,1 6msg_X_,232,235,1,1 6msg_X_,239,243,1,1 6msg_X_,240,244,1,1 6msg_X_,241,244,1,1 6msg_X_,242,246,1,1 6msg_X_,243,247,1,1 6msg_X_,244,247,1,1 6msg_X_,245,249,1,1 6msg_X_,246,250,1,1 6msg_X_,247,251,1,1 6msg_X_,248,252,1,1 6msg_X_,249,252,1,1 6msg_X_,249,253,1,1 6msg_X_,250,254,1,1 6msg_X_,251,256,1,1 6msg_X_,252,255,1,1 6msg_X_,256,260,1,1 6msg_X_,257,261,1,1 6msg_X_,258,262,1,1 6msg_X_,259,263,1,1 6msg_X_,260,264,1,1 6msg_X_,261,265,1,1 6msg_X_,262,266,1,1 6msg_X_,263,267,1,1 6msg_X_,268,271,1,1 6msg_X_,268,272,1,1 6msg_X_,274,279,1,1 6msg_X_,277,281,1,1 6msg_X_,278,282,1,1 6msg_X_,279,283,1,1 6msg_X_,280,284,1,1 6msg_X_,281,285,1,1 6msg_X_,282,286,1,1 6msg_X_,283,287,1,1 6msg_X_,284,288,1,1 6msg_X_,285,289,1,1 6msg_X_,286,290,1,1 6msg_X_,286,322,1,1 6msg_X_,287,291,1,1 6msg_X_,288,292,1,1 6msg_X_,289,293,1,1 6msg_X_,292,295,1,1 6msg_X_,297,300,1,1 6msg_X_,301,345,1,1 6msg_X_,303,343,1,1 6msg_X_,305,309,1,1 6msg_X_,306,309,1,1 6msg_X_,306,310,1,1 6msg_X_,307,311,1,1 6msg_X_,308,312,1,1 6msg_X_,309,313,1,1 6msg_X_,315,317,1,1 6msg_X_,316,320,1,1 6msg_X_,317,321,1,1 6msg_X_,318,322,1,1 6msg_X_,319,323,1,1 6msg_X_,320,324,1,1 6msg_X_,321,324,1,1 6msg_X_,321,325,1,1 6msg_X_,322,326,1,1 6msg_X_,323,327,1,1 6msg_X_,324,328,1,1 6msg_X_,325,329,1,1 6msg_X_,326,331,1,1 6msg_X_,327,330,1,1 6msg_X_,335,344,1,1 6msg_X_,337,341,1,1 6msg_X_,355,358,1,1 6msg_X_,357,360,1,1 6msg_X_,357,361,1,1 6msg_X_,358,361,1,1 6msg_X_,358,362,1,1 6msg_X_,360,364,1,1 6msg_X_,361,365,1,1 6msg_X_,362,366,1,1 6msg_X_,363,367,1,1 6msg_X_,364,367,1,1 6msg_X_,364,368,1,1 6msg_X_,366,370,1,1 6msg_X_,367,371,1,1 6msg_X_,368,372,1,1 6msg_X_,371,375,1,1 6msg_X_,372,376,1,1 6msg_X_,373,377,1,1 6msg_X_,374,378,1,1 6msg_X_,375,379,1,1